[原创].在Quartus II中分配管脚的两种常用方法

摘要:
接下来,我将与您讨论使用QII分配管脚的两种常见方法。图3验证引脚是否正确分配的方法2:sourcexxx-Tcl步骤1:在QII软件中,使用“Assignments--RemoveAssignments”标签删除引脚分配内容,以确保分配的引脚不会因覆盖而出现错误。步骤2:使用记事本或类似软件创建一个新的tcl文件,并按以下格式编写pin分配内容。图5销的步骤3。tcl:执行pin tcl方法1:在QII软件中,使用“View--UtilityWindows--TclConsole”选项卡打开QuartusIITclConsole。图7选择销。tcl for TclScripts,然后选择“运行”选项卡以执行tcl文件。

示范程序

seg7_test.v

/*
 * seg7 x 8 查找表测试文件
 */

module seg7_test(
  input         CLOCK_50,
  
  output [7:0]  SEG7_DIG,
  output [7:0]  SEG7_SEG
);

seg7_8_LUT u0(
  .i_clock(CLOCK_50),
  .i_turn_off(8'b1100_0000),  // 熄灭位[此处取第7、6位
  .i_dp(8'b0000_0100),        // 小数点位[此处取第2位
  .i_data(32'hAB_CDEF),       // 欲显数据[16进制
  
  .o_dig(SEG7_DIG),
  .o_seg(SEG7_SEG)
);

endmodule

此例化文件共需要17个管脚。接下来我和大家一起讨论使用QII分配管脚的两种常用方法。

方法一:Import Assignments

步骤1:使用记事本或类似软件新建一个txt文件(或csv文件),按如下格式编写管脚分配内容(不同的开发版,其内容也不同,本文以我使用的艾米电子2C8开发版为范例)。

注意:To和Location两个关键字中间有一个半角逗号。

图1 pin.txt

图1 pin.txt

步骤2:在QII软件中,选择“Assignments —— Import Assignments”。如图所示,导入xxx.txt文件即可。

图2 导入pin.txt

图2 导入pin.txt

步骤3:在QII软件中,选择“Assignments —— Pin”标签(或者点击image按钮) ,打开Pin Planner,验证管脚是否分配正确。

图3 验证管脚是否分配正确

图3 验证管脚是否分配正确

方法二:source xxx.tcl

步骤1:在QII软件中,使用“Assignments —— Remove Assignments”标签,移除管脚分配内容,以确保此次操作,分配的管脚没有因为覆盖而出现错误的情况。

图4 Remove Assignments

图4 Remove Assignments

注:在未执行任何管脚分配操作新工程中,可跳过步骤1。

步骤2:使用记事本或类似软件新建一个tcl文件,按如下格式编写管脚分配内容(不同的开发版,其内容也不同,本文以我使用的艾米电子2C8开发版为范例)。

注意关键字set_location_assignment和-to的用法。

图5 pin.tcl

图5 pin.tcl

步骤3:执行pin.tcl

方法1:在QII软件中,使用“View —— Utility Windows —— Tcl Console”标签,打开Quartus II Tcl Console。执行语句:

图6 source pin.tcl

图6 source pin.tcl

方法2:在QII软件中,使用“Tools —— Tcl Scripts …”标签,打开Tcl Scripts。

图7 Tcl Scripts

图7 Tcl Scripts

选择pin.tcl,选择“Run”标签,执行Tcl文件。

步骤4:同方法1的步骤3。

免责声明:文章转载自《[原创].在Quartus II中分配管脚的两种常用方法》仅用于学习参考。如对内容有疑问,请及时联系本站处理。

上篇部署Kettle做ETL开发并使用Crontab制作调度系统JVM基本讲解下篇

宿迁高防,2C2G15M,22元/月;香港BGP,2C5G5M,25元/月 雨云优惠码:MjYwNzM=

相关文章

Linux Tcl和Expect的安装

一、先安装Tcl 1、下载:tcl版本 8.4.19 http://sourceforge.net/projects/tcl/files/Tcl/8.4.19/tcl8.4.19-src.tar.gz/download 2、解压缩源码包 tar xfvz tcl8.4.19-src.tar.gz 3、安装配置 #cd tcl8.4.19/unix #....

原!linux机器 配置自动scp脚本

 方式一: 1.安装相关依赖包 yum install -y tcl tclx tcl-develyum -y install expect 2.脚本 scp.sh #!/usr/bin/expect #获取输入参数set f1 [lindex $argv 0]set f2 [lindex $argv 1]set dir [lindex $argv 2]s...

为Tcl编写C的扩展库

Tcl是一个比较简洁的脚本语言,官方地址 http://www.tcl.tk. tcl脚本加载C实现的动态库非常方便。 1. 为Tcl编写一个用C实现的扩展函数。 #include <stdio.h> #include <stdlib.h> #include <string.h> #include <tcl.h&g...

[转]Quartus和Modelsim仿真ROM模块

新建一个工程 选择工程保存路径,为工程取一个名字 Page 2 of 5 直接点击next Page 4 of 5 直接点击next 下面为工程添加文件 需要注意模块名和模块所在文件的文件名要一致,下面的代码保存在Wave.v这个文件中 module Wave(     input i_clk,     input i_rst_n,     out...

quartus之LPM_COMPARE测试

quartus之LPM_COMPARE测试 1、IP描述 比较器的IP,可以比较两路数据是否相等。相等输出为1,不等输出为0的aeb信号是需要测试的量。 2、基础测试 module compare_top( input [7:0] x1, input [7:0] x2, output result ); alteraip_comp...

[NS2]TCL语言基本语法

(来自:《NS2仿真实验-多媒体和无线网络通信》) 1. 变量(Variable)和变量替换(Variable Substitution)   tcl变量是在第一次使用set的指令来指派变量的值时所产生的。可以使用unset来取消这个变量。当取用或者改变变量值时,只要在变量名称前加上一个“$”,就可以取用或改变变量内的值。指令eval用于执行一个tcl s...