quartus之LPM_COMPARE测试

摘要:
quartus之LPM_COMPARE测试1、IP描述比较器的IP,可以比较两路数据是否相等。相等输出为1,不等输出为0的aeb信号是需要测试的量。
quartus之LPM_COMPARE测试

1、IP描述

比较器的IP,可以比较两路数据是否相等。相等输出为1,不等输出为0的aeb信号是需要测试的量。

2、基础测试

module compare_top(
    input [7:0] x1,
    input [7:0] x2,
    output result
);

alteraip_compare U1(
    .dataa(x1),
    .datab(x2),
    .aeb(result)
);

endmodule
`timescale 1ns/1ns
modulecompare_top_tb;
    reg [7:0] x1;
    reg [7:0] x2;
    wireresult;

compare_top U1_tb(
    .x1(x1),
    .x2(x2),
    .result(result)
);

initial beginx1=0;
    x2=0;
    $monitor($realtime,,"x1=%d,x2=%d,result=%d",x1,x2,result);
    #1x1=1;
    x2=0;
    #1x1=2;
    x2=2;
    #1x1=4;
    x2=8'h4;
    #1$stop;
end

endmodule

测试代码和顶层代码如上。

3、结果分析

 run -all
# 0 x1=  0,x2=  0,result=1# 1 x1=  1,x2=  0,result=0# 2 x1=  2,x2=  2,result=1# 3 x1=  4,x2=  4,result=1# ** Note: $stop    : D:/Library/FPGA_altera/A6_LPM_COMPARE/standard_module/compare_top_tb.v(27)
#    Time: 4 ns  Iteration: 0  Instance: /compare_top_tb

测试结果和预期一致。

免责声明:文章转载自《quartus之LPM_COMPARE测试》仅用于学习参考。如对内容有疑问,请及时联系本站处理。

上篇Python(2.7)-标准输入输出,标准错误输出07_RHEL7配置yum源下篇

宿迁高防,2C2G15M,22元/月;香港BGP,2C5G5M,25元/月 雨云优惠码:MjYwNzM=

相关文章

[转]Quartus和Modelsim仿真ROM模块

新建一个工程 选择工程保存路径,为工程取一个名字 Page 2 of 5 直接点击next Page 4 of 5 直接点击next 下面为工程添加文件 需要注意模块名和模块所在文件的文件名要一致,下面的代码保存在Wave.v这个文件中 module Wave(     input i_clk,     input i_rst_n,     out...

电脑不识别USB blaster驱动问题

电脑不识别USB blaster,如下图: 解决办法:手动更新 http://zhidao.baidu.com/link?url=snVT__AsbtmQ4U5EBVN05Yrgv1TPv7AdVYekY7LZkGa0lZX3qIljJyAeu3xiEtVMQkSg4BGaIX9zHvJVtpknna Quartus II 安装完成后自带有...

quartus 使用技巧[设置上拉、生成网表等]

引言:工欲善其事必先利其器,欢迎大家一起交流,Q群:912014800。     在fpga学习中,熟悉一个工具的使用是很有必要的,可以加快开发进度或者帮助我们更好的定位问题。本文总结一些quaruts的常用技巧以供大家参考,不是非常全面,如有更好的技巧,请留言讨论。   使用版本:quartus prime standard 17.1  (win 10建...

quartus FIR仿真笔记

第一章:   最近百度了一些fir滤波器的资料,都没有自己想要的。容我吐槽一大段文字>。。   在旧版的quartus中,比如13.0,有两个fir滤波器的选项,如下所示: 网上很多都是讲不带II的那个,而在新版的quartus已经找不到这个IP了,如下所示:   对于第一版的FIT滤波器,在旧的版本中,经常生成不了fir这个滤波器(quartus...

转载.怎样在Quartus II中转化HDL文件为bsf文件?

步骤1 新建或打开Quartus II工程,用QII自带文本编辑器打开HDL文件。 图1 用QII自带的文本编辑器打开HDL文件 步骤2 选择File>Create / Update>Creat Symbol Files for Current File,等待图3所示画面出现即可。 图2 选择Creat Symbol Files for C...

[原创].在Quartus II中分配管脚的两种常用方法

示范程序 seg7_test.v /* * seg7 x 8 查找表测试文件 */ module seg7_test( input CLOCK_50, output [7:0] SEG7_DIG, output [7:0] SEG7_SEG ); seg7_8_LUT u0( .i_clock(CLOCK_...