转载.怎样在Quartus II中转化HDL文件为bsf文件?

摘要:
步骤1新建或打开QuartusII工程,用QII自带文本编辑器打开HDL文件。图2选择CreatSymbolFilesforCurrentFile图3创建成功现在通过File˃Open,就可以打开相应的bsf文件了。类似的方法,可以生成VHDl组件声明和Verilog的例化模板。

步骤1

新建或打开Quartus II工程,用QII自带文本编辑器打开HDL文件。

图1 用QII自带的文本编辑器打开HDL文件

图1 用QII自带的文本编辑器打开HDL文件

步骤2

选择File>Create / Update>Creat Symbol Files for Current File,等待图3所示画面出现即可。

image

图2 选择Creat Symbol Files for Current File

图3 创建成功

图3 创建成功

现在通过File>Open,就可以打开相应的bsf文件了。

图4 生成的bsf文件

图4 生成的bsf文件

说明

通过File>Create / Update>Creat Symbol Files for Current File仅能生成模块的输入输出框图。类似的方法,可以生成VHDl组件声明和Verilog的例化模板。

免责声明:文章转载自《转载.怎样在Quartus II中转化HDL文件为bsf文件?》仅用于学习参考。如对内容有疑问,请及时联系本站处理。

上篇java树形结构工具类(查询省市区树形结构数据等多级联级数据)【百度地图】显示从某站点出发的所有公交车路线下篇

宿迁高防,2C2G15M,22元/月;香港BGP,2C5G5M,25元/月 雨云优惠码:MjYwNzM=

相关文章

quartus之LPM_COMPARE测试

quartus之LPM_COMPARE测试 1、IP描述 比较器的IP,可以比较两路数据是否相等。相等输出为1,不等输出为0的aeb信号是需要测试的量。 2、基础测试 module compare_top( input [7:0] x1, input [7:0] x2, output result ); alteraip_comp...

[原创].在Quartus II中分配管脚的两种常用方法

示范程序 seg7_test.v /* * seg7 x 8 查找表测试文件 */ module seg7_test( input CLOCK_50, output [7:0] SEG7_DIG, output [7:0] SEG7_SEG ); seg7_8_LUT u0( .i_clock(CLOCK_...

电脑不识别USB blaster驱动问题

电脑不识别USB blaster,如下图: 解决办法:手动更新 http://zhidao.baidu.com/link?url=snVT__AsbtmQ4U5EBVN05Yrgv1TPv7AdVYekY7LZkGa0lZX3qIljJyAeu3xiEtVMQkSg4BGaIX9zHvJVtpknna Quartus II 安装完成后自带有...

quartus FIR仿真笔记

第一章:   最近百度了一些fir滤波器的资料,都没有自己想要的。容我吐槽一大段文字>。。   在旧版的quartus中,比如13.0,有两个fir滤波器的选项,如下所示: 网上很多都是讲不带II的那个,而在新版的quartus已经找不到这个IP了,如下所示:   对于第一版的FIT滤波器,在旧的版本中,经常生成不了fir这个滤波器(quartus...

quartus 使用技巧[设置上拉、生成网表等]

引言:工欲善其事必先利其器,欢迎大家一起交流,Q群:912014800。     在fpga学习中,熟悉一个工具的使用是很有必要的,可以加快开发进度或者帮助我们更好的定位问题。本文总结一些quaruts的常用技巧以供大家参考,不是非常全面,如有更好的技巧,请留言讨论。   使用版本:quartus prime standard 17.1  (win 10建...

[转]Quartus和Modelsim仿真ROM模块

新建一个工程 选择工程保存路径,为工程取一个名字 Page 2 of 5 直接点击next Page 4 of 5 直接点击next 下面为工程添加文件 需要注意模块名和模块所在文件的文件名要一致,下面的代码保存在Wave.v这个文件中 module Wave(     input i_clk,     input i_rst_n,     out...