Uvm

UVM基础之---------uvm report 机制分析

uvm 中的信息报告机制相对来说比较简单,功能上来说主要分为两部分: 第一通过ID对component的信息报告冗余级别进行控制,针对每个冗余级别进行不同的行为控制。这部分工作主要由uvm_report_hander来实现:      主要涉及到的方法有get_report_verbosity_level(severity, id)/get_report...

UVM中的class--2

1)uvm_component从uvm_report_object继承而来,提供的功能包括: 1)Hierarchy,-----searching and traversing component hierachy 2)Phasing-----调用uvm_phase以及预先定义的很多phase 3)config-------调用config_db的m...

vlog常用参数解析

1. -f <filelist> : compile all files in filelist ------------------------------------------------------------------------ #+incdir+ D:/Documents/Work/UVM_PRJ/uvm-crc-test/sr...

UVM之uvm_phase

UVM中的phase机制很有意思,它能让UVM启动之后,自动执行所有的流程。UVM 的user guide 中对uvm_phase的定义如下: This base class defines everything about a phase: behavior, state, and context. To define behavior, it is...

UVM基础之---------uvm factory机制register

factory机制的一大特点就是根据类的名字来创建类的实例。 factory 机制中根据类名来创建类的实例所用到的技术:一是参数化的类,二是静态变量和静态函数。这两者是factory机制实现的根本所在。 UVM 中有两大关键类,uvm_object 和 uvm_component。一个 uvm_object 在定义时一般要调用 uvm_object_u...

UVM基础之----uvm_object

uvm_void The uvm_void class is the base class for all UVM classes. uvm_object: The uvm_object class is the base class for all UVM data and hierarchical classes. uvm_object是一个uvm...