Verilog

使用notepad++调用vlog.exe程序编译verilog代码

经常使用notepad++的编辑器编写verilog代码,经常调用modelsim的进行基本编码输入检查 。但是每次都手动打开modelsim软件既费时间又由于启动modelsim GUI占用系统比较大的内存, 于是博主就经过研究notepad++工具,找到了一个直接在notepad++ 客户端运行vlog.exe来对verilog代码进行编译的办法 。打...