cpu IP核下载列表 (最新全集)

摘要:
IP核心下载列表必须为:-n(R7z!H:`/D$l/V8f*J1c7}2e1v$|1@3Vqi: 大多数IP来自网络,缺乏足够的测试来让每个人学习G0n'{'r,X4。每个完整的IP核可以获得5把IP刀,上传后立即生效。6O-m*Xv最简单的CPU8|!“用于入门的Z-T0`*HARM7源代码和模拟包-伊利诺伊大学-p6YR2r:b9FTinyOR12003C'M$w,d”Z$A&F7|“i$F-c:]MiniCPUCore及其编译器4e#G!G8O;M'Z(y使用wishbone总线mcpu#^8d9N的最小系统。R6L0 0VMiniOR1200包括UART消息(Verilog);F*i,?V/^,U9q2v&Q;w9[cordic_v1.04 AlteraIP$o。{'k”G5h$x/M-l+`'”长;宽:G)I5F8w下载必须为:“I.j&h:m9r6O)f*o”S1v6~7D.5g1.大多数IP来自网络,缺少足够的测试供每个人学习。“z.X$F2g+l5j2.IP保留所有权利。严禁商业使用。K4r2T:C{&n2p&x-S3。获取IP刀的唯一方法是在本节中上载有效的IP核心代码或分发包。
IP核下载列表 (最新全集)
下载必读:
- n( R7 z! h: `/ D$ l/ V8 f* J1 c7 }2 e
1 v$ |1 @3 V, q; i: B- Z, K& _1 G1. 绝大部分IP来自网络,缺乏足够的测试,仅供大家学习之用。, I: D% Y1 h2 u; R
2. IP原作者版权所有,严禁商用。切记!

1 }/ t+ b& r& ^' q4 U$ @3. 获取IP刀的唯一方法是:在本版块(常用IP核)中上传有效的IP核代码或者发行包。
. G0 n' {' r, X4. 每个完整的IP核可以获得5个IP刀,上传后即刻生效。
) `/ M% a4 {5 V. Z' s

: \+ ^/ t- U# ]1 Q1 w, S, c
+ \- L" l, l# F6 e8 Y【闪存=发行包=已验证】NAND闪存接口实现
2 `* H2 s$ N; p( D【内存=发行包=已验证】Micron 全系列DDR模型与testbench (SDRAM DDR/~2/~3); o8 I* V# z: i6 q3 K9 F5 d5 ^
【内存=发行包=未验证】内存控制器设计原理与工程包
2 ]0 _% K, }1 R1 G【内存=发行包=未验证】SDRAM控制器(Verilog,含设计心得)
+ x4 N+ e7 O' _
( I$ s2 W6 [( _【信号=仅代码=已验证】曼彻斯特编解码(verilog)% s4 j; Y2 ^" F% `) _5 v3 p
【信号=近代码=未验证】3阶分布式FIR滤波器
+ @" @' M; a" k5 P' i, h2 k# s【信号=近代码=未验证】6阶分布式FIR滤波器
. c' J# G# \  q& P  @【信号=发行包=已验证】Reed Solomon 解码模块
2 x7 w8 i0 d0 }* O( g
【信号=发行包=已验证】二进制与BCD互相转换模块0 m7 d. j% P+ l4 p2 x2 g

  {( z8 d3 B" o1 N3 v. H% n【图像=仅代码=未验证】RGB转YCbCr
6 \. ?0 f* F6 c, ~  A
* f/ |/ L8 D, ?【通信=发行包=已验证】802.3以太网(Ethernet) MAC
  i3 ^3 d& m2 t7 U( g2 k5 [1 C/ y2 z
【安全=发行包=未验证】SHA-1, W! U' W4 Z2 R1 ]

  M8 N, n3 u  C( n4 g6 j" k【总线=发行包=未验证】WishBone To HPI 总线转换(Verilog/VHDL)
# F7 |+ v: y' }% P0 t, H
( ?% ?2 ?, @# T( ]【接口=发行包=仿真通过】USB1.1/ X* n, N8 N+ c6 m7 D9 M2 n
【接口=发行包=已验证】SPI 开源IP
0 Z5 ~4 L3 K3 i* I7 j; g【接口=发行包=已验证】I2C接口(Verilog/VHDL双版本)
* d6 Q' [9 A5 B5 _0 t7 t- Y# m【接口=发行包=已验证】UART 16550
, N6 c  N2 A# X: B& d$ F4 d) N* [) _7 h5 ?6 O- m* X  v
【RISC=发行包=未验证】适合入门的最简单CPU8 |! U% V, Q5 w( }' ?" Z- T0 `* H
【RISC=发行包=已验证】ARM7源代码与仿真包——伊力诺依大学
- p6 Y( j; a$ v9 M【RISC=发行包=未验证】LEON2 发行包
, }; X3 Q( \  w【RISC=仅代码=未验证】ARM7两个软核(VHDL和Verilog双版本)5 V4 i/ U  l7 ^: L& B2 D4 l
【RISC=书籍附件】《开源软核处理器Openrisc的SOPC设计》的附件
0 `5 x# v) n) R2 r: b9 F【RISC=发行包=未验证】Tiny OR1200 (Verilog)
3 C' M$ w, d" Z$ A& F
7 |" i$ F- c: ]【CISC=发行包=已验证】MiniCPUCore及其编译器(Lattice ECP2验证通过)4 e# G! Q/ ]' r) g
# ^" y' [; ?. Q0 M- z! g8 O; m' Z( y
【SoC=发行包=已验证】使用wishbone总线的最小系统mcpu
# ^8 d9 N. R6 L0 \0 V【SoC=发行包=已验证】Mini OR1200含UART消息(Verilog); f* I, ?, V- M5 n, I
【SoC=发行包=已验证】Openrisc + Wishbone + UART + Int-Mem仿真验证
" m2 E+ ^' i7 d/ ~; m
1 g# T9 Y( p5 ?, L3 P
' d- Y/ M. F5 {: x' Y7 |0 O, x6 A【单片机=发行包=核加密】DP8051-Free(内核不可见)  o2 \, z  ]: X6 O8 g
【单片机=发行包=未验证】DW8051(Verilog源代码+文档介绍)
/ l, }' n) s* [; ]$ d【单片机=发行包=未验证】C8051可综合VHDL内核 (From Evatronix)
+ {# H8 W& ?4 v* v+ Q- C【单片机=发行包=已验证】MC8051 IP (VHDL)
" @( ?" K+ R4 ]0 s  b% U  m【单片机=仅代码=未验证】Q8051(带jtag)
# L! Z8 K. j8 r" D, }# m  v6 d* f: ~- u  x: Z% h
【工具】开源C:将HEX转换为XILINX的COE内存初始化文件
  a  t! v/ ^, U9 q2 v& Q; w9 [【工具】cordic_v1.0.4 Altera IP
$ o. {' k" G5 h$ x/ M- l+ `
' w; w: g) I5 \" F8 w下载必读:" I. j& h: m9 r6 O) f* o
" S1 v6 ~7 D. \5 g
1. 绝大部分IP来自网络,缺乏足够的测试,仅供大家学习之用。
" z. X$ F2 g+ l5 j2. IP原作者版权所有,严禁商用。切记!
  K4 r2 T: C  {& n2 p& x- S
3. 获取IP刀的唯一方法是:在本版块(常用IP核)中上传有效的IP核代码或者发行包。: [! k6 |" {" n6 y: N
4. 每个完整的IP核可以获得5个IP刀,上传后即刻生效。

下载地址:
http://www.socvista.com/bbs/viewthread.php?tid=5178&extra=&page=1

 

 原文地址 http://www.socvista.com/bbs/viewthread.php?tid=5178&extra=&page=1

免责声明:文章转载自《cpu IP核下载列表 (最新全集)》仅用于学习参考。如对内容有疑问,请及时联系本站处理。

上篇使用boost.python进行混合开发占cpu 100%的脚本下篇

宿迁高防,2C2G15M,22元/月;香港BGP,2C5G5M,25元/月 雨云优惠码:MjYwNzM=

相关文章

嵌入式FIFO核的调用

 本次设计源码下载地址:http://download.csdn.net/detail/noticeable/9915523 课程目标:学习调用quartus II 软件的FIFO(先进先出)IP核,并通过仿真,了解其时序。 实验现象:通过quartus II 调用FIFO IP核,并进行不同形式的配置,通过仿真验证其接口时序。 知识点:FIFO IP核的...

Arm设计思想与高效C语言编程联系

一.RISC设计思想 ARM内核采用RISC体系结构。RISC是一种设计思想,其目标是设计出一套能在高时钟频率下单周期执行,简单而有效的指令集。RISC的设计重点在于由硬件执行的指令的复杂度,这是因为软件比硬件容易提供更大的灵活性和更高的智能。因此,RISC设计对编译器有更高的要求;相反,传统的复杂指令集的计算机(CISC)则更侧重于硬件执行指令的功能性,...

ARM与MIPS平台优劣对比分析

1.流水线结构 pipeline     – MIPS 是最简单的体系结构之一,所以使大学喜欢选择 MIPS 体系结构来介绍计算体系结构课程。     – ARM has barrel shifter     shifter是两面性的,一方面它可以提高数学逻辑运算速度,另一方面它也增加了硬件的复杂性。所以和可以完成同样功能的adder/shift regi...

利用IP核设计高性能的计数器

利用Quartus II的LPM_counter IP核进行设计(利用IP核设计可以迅速高效的完成产品的设计)        新建工程 调用IP核 创建一个新的IP核   选择LMP_COUNTER,语言类型,输出路径。 选择希望输出的寄存器是多少位的,计数类型,   选择计数器类型(直接计数还是以模的形式计数),是否需要时钟使能和计数使能信号、进位...

pll的ip核调用

Quartus ii的pll ip核调用: 1、先建立好工程。点击“Tools”,接着点击“Mega Wizard Plug-In Manager”。 2、创建一个新的ip核: 2、这里需要的是pll的ip核,所以就搜索“pll”,并选中“ALTPLL”,接着修改成fpga芯片型号,Verilog HDL,还有设置好pll ip核的路径和名称,这里的名称...

FIFO IP核仿真

FIFO IP核仿真 1.FIFO IP核配置 2.FIFO测试逻辑代码 首先往FIFO里面写入512个数据(FIFO深度的一半),然后再开始同时往FIFO里面写入,读出数据。FIFO读和写的时钟域不同,对于不同时钟域的信号应该进行区分,状态机也应该分开来写。 `timescale 1ns /1ps //////////////////////////...